Hlavní stránka Nabídka Schémata Software Diskuze O nás Zpět   
FM vysílač s digitální modulací uvnitř pásma PLL - 1. část

Podstatou frekvenční modulace jsou drobné změny nosné frekvence v závislosti na modulačním signálu. Každý už asi slyšel o smyčce fázového závěsu (PLL - Phase Locked Loop) jako o způsobu, kterak generovat stabilní signál o zadané frekvenci. Klíčová otázka zní, zda je možné pomocí PLL realizovat i samotnou FM modulaci, tedy v závislosti na zvukovém modulačním signálu zadávat frekvence s takovou rychlostí, že výsledný signál bude frekvenčně modulovaný, při zachování všech potřebných parametrů. Nebudu vás napínat, možné to je.

Principiální schéma

Abychom si vyjasnili, co vlastně chceme, načrtneme si zjednodušené schéma uvažovaného VKV FM vysílače s digitální modulací:

ds2.gif (10147 bytes)

Po navzorkování modulačního signálu a přepočtu na okamžitou hodnotu frekvence nosné je každý vzorek odeslán do PLL, která řídí oscilátor. Na výstupu oscilátoru je modulovaný FM signál, který už stačí jen zesílit a anténou vyzářit směrem k přijímačům našich natěšených posluchačů.

Pokud tohle bude fungovat, tak některé výhody takového přístupu jsou ihned patrné. Především je to naprostá kontrola nad parametry FM modulace, stejně jako u vysílače DDS. Výborná linearita, stabilita, zaručený frekvenční zdvih. S moderními součástkami za tyto výhody ani nemusíme platit vyšší složitostí zapojení (vždyť nějaký mikrokontrolér, PLL a oscilátor najdeme ve vysílači tak jako tak). A na rozdíl od DDS za to nemusíme zaplatit ani moc peněz. Nemluvě o tom, že některé moderní PLL obvody mají integrovaný oscilátor přímo na čipu. Když už tu máme A/D převodník a použijeme nějaký svižnější mikrokontrolér, můžeme rovnou naprogramovat i kompresor dynamiky a stereo kodér. A na to všechno stačí jen pár součástek. Na druhou stranu si musíme přiznat hned na začátku, že z hlediska spektrální čistoty a šumových vlastností se nejedná o nejlepší řešení. Jenže lepší vrabec v hrsti, až se ucho utrhne. Nebo tak nějak.

Jak funguje PLL

Začneme popisem činnosti klasické PLL, přestože nakonec dojdeme k závěru, že k požadovanému účelu ji v této podobě nelze použít :)

ds1.gif (3264 bytes)

Schéma klasické (mohli bychom též říci celočíselné) PLL je na obrázku výše. Výstupní signál je generován napětím řízeným oscilátorem (VCO), což je nejčastěji LC oscilátor laděný napětím na varikapu. Tedy nic neznámého. Výstup z tohoto oscilátoru je zaveden do frekvenční děličky (Frequency Divider), kde se jeho frekvence dělí celým číslem N. Signál (V) odsud vstupuje do fázového detektoru (Phase Detector), kde je porovnáván se signálem referenčním (R), který je nejčastěji odvozen od frekvence stabilního krystalového oscilátoru. Pokud se fáze shoduje, neděje se nic, VCO kmitá na správné frekvenci zadané pomocí čísla N. Při rozdílu fází je skrze nábojovou pumpu (Charge Pump) a filtr smyčky (Loop Filter) ovlivněno ladicí napětí VCO takovým způsobem, aby se fáze (a tedy i frekvence) signálů V a R opět shodovaly.

Fázový detektor v moderních obvodech PLL není jen jednoduché hradlo, ale obsahuje i paměť a dovoluje při porovnání fáze zohlednit i frekvenci. Jinými slovy, umožňuje porovnávat fázi v rozsahu větším než +/- 180 stupňů. To je důležité pro rychlé zavěšení smyčky při velkém počátečním rozdílu frekvencí.

Nábojová pumpa zde není nic jiného než zdroj krátkých impulsů proudu pevné hodnoty (obvykle několik mA) a kladné či záporné polarity, podle toho, jestli je rozdíl fází kladný či záporný. V případě rovnosti fází (stav "zavěšení") je výstup nábojové pumpy ve stavu vysoké impedance a neovlivňuje tedy ladicí napětí pro VCO.

Filtr smyčky slouží jako integrátor, "drží" ladicí napětí, potlačuje jeho rychlé změny a upravuje jeho hodnotu v závislosti na příchozích proudových impulsech. Otázka je, kterou veličinu vlastně ovládáme, frekvenci nebo fázi? Ve stavu zavěšení PLL negeneruje žádné impulsy. Aby tento žádoucí stav přetrvával po většinu času, je zřejmé, že musíme ovládat a správně nastavit frekvenci. Frekvence se upravuje jednorázovým snížením či zvýšením ladicího napětí. Jenže fázový detektor typicky pracuje s fází, nikoli s frekvencí, a tyto dvě veličiny spolu nejsou v natolik těsném a jednoznačném vztahu, aby jakoukoli odchylku fáze bylo možné kompenzovat pouhou změnou frekvence. Smyčka by neměla potřebné dynamické parametry nebo by nebyla schopna ani dosáhnout stavu zavěšení. Proto je nutné ovládat i fázi. Fáze se upravuje pomocí krátké změny ladicího napětí s návratem zpět na původní hodnotu. V neposlední řadě je účelem filtru potlačit to, co se souhrnně nazývá jako "bordel", tedy šum a nežádoucí spektrální složky, které vznikají i v důsledku činnosti PLL. Na návrh filtru existuje velmi obsáhlý teoretický aparát, ale ve finále to pracuje tak, jak bylo popsáno. Prakticky je filtr nejčastěji realizován z několika odporů a kondenzátorů jako dolní propust s "překmitem". Na výpočet hodnot součástek obvykle výrobci integrovaných obvodů PLL poskytují vlastní software, který bere v úvahu i všechna specifika daného obvodu.

Když se ještě jednou podíváme na ten obrázek, tak si možná všimneme, že celá PLL v důsledku funguje jako násobička kmitočtu. Frekvence referenčního signálu R je násobena číslem N. To je celé kouzlo tohoto obvodu. Takže když bude referenční frekvence třeba 1 kHz, zadáním čísla N mohu teoreticky generovat jakoukoli frekvenci s krokem 1 kHz. Problém je v tom, že taková větička nic neříká o dynamickém chování celé smyčky, tedy o tom, s jakou rychlostí se frekvence ustálí na zadané hodnotě. Tak a teď to přijde.

Požadavky na PLL z pohledu digitální FM modulace

V dalším pojednání bude asi nejlepší vyjít z klasické funkce PLL, jak byla už mnohokrát popsána. U FM vysílače s přímou modulací, tedy přivedením modulačního signálu na varikap oscilátoru, je funkce PLL následující - udržovat nosnou frekvenci na požadované hodnotě, ale umožnit její krátkodobé výkyvy, které jsou podstatou FM modulace. Smyčka PLL je tedy nastavena tak, aby reagovala pomalu a neovlivňovala přenos akustických frekvencí a pokud možno ani frekvencí bezprostředně pod akustickým pásmem. Zapojení příslušného filtru PLL je věcí kompromisu, neboť do hry vstupují více či méně protichůdné požadavky. Šířka pásma filtru je nejvýše několik desítek Hz. Modulace tedy probíhá vně pásma smyčky.

Pokud však šířku pásma filtru PLL podstatně zvětšíme, až nad nejvyšší možnou modulační frekvenci, PLL se začne vydatně bránit jakékoli FM modulaci, frekvence nosné bude v každém okamžiku pod kontrolou PLL. Odtud už je jen krůček k tomu, abychom do PLL dostatečnou rychlostí posílali okamžité hodnoty nosné v závislosti na modulačním signálu. Dostatečnou rychlostí se samozřejmě rozumí nejméně dvojnásobek nejvyšší modulační frekvence, jak vyplývá ze známého vzorkovacího teorému. Hovoříme o modulaci uvnitř pásma PLL.

Pozn.: Speciální obdobou FM modulace uvnitř pásma PLL je i FM modulace referenčního oscilátoru. Této metodě se však nyní věnovat nebudeme.

Rozhlasové FM vysílání obvykle pracuje s frekvenčním zdvihem 75 kHz a nejvyšší modulační frekvencí 60 kHz. Tyto dva parametry jsou naprosto klíčové. Pokud budeme uvažovat, že modulační signál má mít rozlišení třeba 10 bitů (nic extra, ale už se to dá dobře poslouchat), což odpovídá 1024 úrovním, pak potřebujeme, aby PLL umožnila ladění s krokem 2*75/1024 = 0,146 kHz. Přitom mezi různými úrovněmi musí být PLL schopna "přeladit" za dobu nepřesahující 1/(2*60) = 0,008 ms. S pomocí zapojení PLL na obrázku výše tohle prostě nedáváme. Pokud zvolíme referenční kmitočet 0,146 kHz, šířka pásma filtru smyčky musí být samozřejmě podstatně nižší, aby nám to nehučelo v modulaci, tedy nejspíše jen několik Hz. Přeladění bude namísto mikrosekund trvat několik sekund a jsme namydlení.

PLL s neceločíselným dělitelem (Fractional-N PLL)

Jak sakra fungují všechny ty dnešní komunikační systémy, které používají tzv. frequency hopping? Vždyť je to všude, je to levné, a přitom to umí rychle přeskakovat mezi různými frekvencemi s malým krokem. Podstatou jsou relativně moderní obvody PLL označované jako "Fractional-N", tedy s dělitelem N zadaným jako desetinné číslo (zlomek). To je přímo revoluční řešení, protože umožňuje hravě skloubit dva jinak protichůdné požadavky - malý krok ladění a rychlé přeladění. Z hlediska spektrální čistoty se zejména prosazují fractional-N PLL obvody pracující na principu sigma-delta. Zároveň je potřeba říci, že vývoj v této oblasti ještě zdaleka není ukončen.

Celočíselná a desetinná část se zadávají zvlášť, každá do svého registru, přičemž desetinná část je vyjádřena jako čitatel zlomku, např. Nf/16384. Digitální obvody samozřejmě ve skutečnosti žádná desetinná čísla neznají. Namísto toho se zde používá zmíněná modulace sigma-delta. Jako N zadáme třeba hodnotu odpovídající číslu 40,25. Vnitřní logika PLL bude v takovém případě ve vhodném poměru neustále přepínat N mezi hodnotou 40 a 41, tak, aby výsledná frekvence odpovídala zadanému číslu. Z hlediska šumu a nežádoucích spektrálních složek je toto přepínání speciálními postupy optimalizováno. Tato optimalizace, můžeme-li to tak nazvat, má zásadní vliv na vlastnosti celého obvodu.

Jelikož N může mít běžně 4 i více desetinných míst, může být referenční frekvence PLL (a tedy i pracovní frekvence fázového detektoru) celkem bez problémů několik MHz, což spolu s odpovídajícím filtrem smyčky zajistí potřebné dynamické parametry PLL při dobrém potlačení rušivých složek. Správně zvolené hodnoty součástek filtru jsou samozřejmě klíčové pro vlastnosti celého uvažovaného vysílače, nejen pokud jde o vyrovnanou frekvenční charakteristiku, ale zejména co se týká nežádoucích spektrálních složek v okolí nosné na výstupu vysílače. Ovlivnění frekvenční charakteristiky modulačního signálu zpravidla nepředstavuje významný problém, protože se dá lehce kompenzovat už v analogové cestě nebo po navzorkování A/D převodníkem. Horší je to s nežádoucím vyzařováním. Filtr musí spolehlivě potlačit nežádoucí frekvence, které generuje obvod PLL.

Další problém vyplývá z teorie vzorkování. Spektrum navzorkovaného signálu neobsahuje jen původní frekvence, ale spektrum se neustále opakuje kolem násobků vzorkovací frekvence. Tento jev je známý jako tzv. aliasing. Tyto násobky by se nám objevily i ve spektru výsledného vf signálu, filtr PLL musí proto pracovat jako anti-alias filtr a tedy mít dobré potlačení již na vzorkovací frekvenci, která se uvažuje v řádech stovek kHz. Samozřejmě platí, že čím vyšší vzorkovací frekvence, tím lépe, i z toho důvodu, že dva sousední vzorky se potom tolik neliší a "skok" frekvence, a tudíž i nežádoucí vyzařování, je významně menší.

V příští části se podíváme, jak digitální FM modulace funguje na praktickém zapojení z dostupných součástek.

 

 

 

(C) 1999-2024 Pira.cz